首页 > 代码库 > Syetem Verilog 文件输入输出系统任务及函数

Syetem Verilog 文件输入输出系统任务及函数

【1】文件的打开、关闭

函数:

打开文件 $fopen   关闭文件 $fclose

语法:

打开文件fd = $fopen(filename, type);关闭文件$fclose(fd);

说明:

filename 为string类型,指定所需要打开的文件type为打开文件方式,主要有:"r":以只读方式打开"w":以写方式打开"a":append,写入到打开文件的结尾fd 为打开的文件的文件描述符,对改文件的所有操作通过对fd操作来实现