首页 > 代码库 > VGA显示
VGA显示
module vga_control( //syste, clk input clk, input rst_n, //vga interface input [9:0] hx, input [9:0] vy, output reg [23:0] rgb, //pinlv interface input [31:0] pinlv ); reg [6:0] add; wire [31:0] q; wire display_value; assign display_value = http://www.mamicode.com/((hx >0 && hx < 33)&&(vy > 0 && vy < 97)) ? 1 : 0; always @(posedge clk or negedge rst_n) begin if(!rst_n) add <= 0; else if(display_value) add <= vy ; else add <= 0; end always @(posedge clk or negedge rst_n) begin if(!rst_n) rgb <= 0; else if(display_value && q[32 - hx]) rgb <= 24‘h666666; else if(!display_value) rgb <= 24‘h888888; else rgb <= 0; end rom rom_inst ( .address ( add ), .clock ( clk ), .q ( q ) ); endmodule
VGA显示的字母汉字的基本套路
值得注意的是
VGA显示
声明:以上内容来自用户投稿及互联网公开渠道收集整理发布,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任,若内容有误或涉及侵权可进行投诉: 投诉/举报 工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。