首页 > 代码库 > FPGA驱动lLCD1602

FPGA驱动lLCD1602

今天抽空写了个驱动LCD1602的小程序。
众所周知,FPGA的优势在于并行处理,对于并行的任务FPGA是在擅长不过的了。由于 FPGA 的并行性, 不管是扫描键盘, 还是扫描 VGA,还是LED等, 都可以把它们做成独立的模块, 时间上没有冲突, 每个模块可以同时执行。
有优势当然也就存在劣势。对于那列串行的顺序控制就是他的不足。办法总比问题多,我们可以用2种方法解决顺序控制的问题:
    1.状态机设计
    可以用Verilog编写状态机代码来实现顺序控制,所谓的状态机,就是通过控制状态的跳转,来实现顺序控制的。本文采用状态机的设计方法来实现控制LCD1602液晶屏。
    2.FPGA 中运行 CPU 
    在FPGA内部可以运行软核处理器或者硬核,如Altera 系列FPGA的nios ii32位软核处理器,集成在FPGA内部的ARM处理器硬核等。我们可以在软核种用C语音实现顺序控制的代码。这样使用软核处理器或者硬核的FPGA就类似于 单片机一样,可以用C语言轻松实现
   言归正传,先上图看看效果:

FPGA驱动lLCD1602