首页 > 代码库 > [Verilog] 读写文件
[Verilog] 读写文件
对位宽为8的寄存器组rDATA[0:255]进行了初始化。
reg [7:0] rDATA;initial begin $readmemh("sin.dat",rDATA); end
将rSR[3]写入文件。
reg [7:0] rSR[3];integer fid;initial begin fid=$fopen("data_out.dat"); end always @ (posedge rCLK) begin if(!rRST) $fdisplay(fid,"%h",rSR[3]); end
声明:以上内容来自用户投稿及互联网公开渠道收集整理发布,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任,若内容有误或涉及侵权可进行投诉: 投诉/举报 工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。